Twitter凍結 Twitter社への手紙

Twitter 日本支社・米国本社 御中 電話番号で凍結させられる上に、凍結の該当のTwittetも示さず公開議論が出来ないTwitterが本当にダメだと思う。 私は、長年派遣低賃金に苦しめられていました。 ルネサスRH850を時給1100円でつくり。 d○c●m◎球体ドローンデ…

退社

3年半勤めた会社を、本日付で退社します。今までありがとうございました。会社側の依頼(?)で閉鎖していたこのBLOGですが、しがらみがなくなったので公開します。働くということに関して、皆さんに是非読んでいただきたい、HPがあります。http://homepage…

NULLPO

NULL POITER

FFT/窓関数の組み込みチェック 方法を考えてみた。

FFTの入力タイミングチェック (1) Index=0 のInput にreal=0x7FFF imag=0 を入力する。それ以外は、real/imag=0 を入れる 出力のrealのみにプラスのDC信号=0x7FFFが出ることを確認する。 (2) Index=0 のInput にimag=0x8000 real=0 を入力する。それ以外は、…

無線LAN測定中

アンテナが来たので測定してみた。

CPUのつくりかたfor VHDL 3回目 出力をまとめる

出力をまとめてみます。 入力信号A,Bの信号が足し算されて、出力がCにまとめてでます。 entity C1-3 is port( RST_N : in std_logic; TRIG : in std_logic; IN_A : in std_logic; IN_B : in std_logic; OUT_C : out std_logic_vector(1 downto 0); ) end C1-…

CPUのつくりかたfor VHDL 2回目 2進数一桁の足し算

次に足し算をしてみよう。 電源をいれたら、出力が0になって、 トリガを入力したら、 入力信号A,Bの信号が足し算されて、一桁目が出力C1、二桁目が出力C2にでる。 entity C1-2 is port( RST_N : in std_logic; TRIG : in std_logic; IN_A : in std_logic; I…

CPUのつくりかたfor VHDL 1回目

電源をいれたら、出力が0になって、 トリガを入力したら、 入力信号Aの信号が出力Bにでる。 まずはそこから。 entity C1 is port( RST_N : in std_logic; TRIG : in std_logic; IN_A : in std_logic; OUT_B : out std_logic; ) end C1; architecture rtl of…

適当に、QPSKつくったら、変な波形になった

なんか、面白い。

MS ForeFrontサーバがだめ

いろいろあり、ForeFrontサーバが、自由に使える環境なのでインストールしていますが、MS Updateサーバ構築とか、MSSQL2005をインストールするだとか、前提条件が多すぎてだめ。3時間ぐらいかかっても終わらない。1時間ぐらいで終わる、Symantecの統合セキ…

gnuradio FPGA解析

gnuradio-3.2.2\usrp\fpga にある。 usrp2 はなぜか見つからない。感覚で見ているが、入力 → USB → FIFO(RAM?) → CORDEC → 出力しかやってない気がする。これなら、うちでもつくれそう。デバックは大変でしょうが・・・

ModelSim

有償版のModelSimが100万円以上するのは何とかならないものか。

メモ FPGA入力回路

入力端子が3.3Vで4.7kΩで釣られている。 Tr:2SC1815GR オープンコレクタ回路 Ic=3.3V/4.7kΩ=0.7mA Tr:のコレクタ損失は、まんどくさいから無視すると このときの、hfeは、トランジスタの動作曲線から、 hfe=10 電流増幅率 hFE=Ic/Ib よって、Ib=70uA Ib = (V…

OneNote http IIS バグ 不具合2

Cannot open Notebook. Drive mapping and IIS working. I must stop IIS from server. To open exist Onenote-Notebook (1) OneNote-Notebook is shareing \\srv\public\onenote. and IIS is working. (2) Client machine mapping drive P: (ex. "net use P…

Windows2008でのIPv6

Windows2008でのIPv6の構成の仕方がわかりません。IPv4は、よくわかります。昔仕事でやってました。IPv6がよくわかりません。ぐぐっても、ばらばらな情報しか見つかりません。本をください。目的が、外部に出れないIv6を固定的に振りたいんです。 Exchangeが…

OneNote http IIS バグ 不具合

サーバ上で、IISが動作している場合、 OneNoteで既存ノートブックを、ファイル共有で参照しようとすると、 なぜか、http参照になってしまい結果、参照できません。サーバ上にてIISを停止させると、治ることがわかったのですが、 本件は、OneNote2007を購入し…

ブラザー プリンタWindows7動作

MFC-850CDNのスキャンがWindows7 にて動作しましたので、ご報告します。 ブラザーからVista用ドライバをダウンロード解凍後 Setup.exeのショートカットを作成右クリックープロパティー互換性ー互換モードでこのプログラムを実行するにチェックWindows V…

基板設計と発注・・・

基板設計と発注・・・指示をだすだけでも、難しい・・・http://journal.mycom.co.jp/series/p-ban/003/index.html

回路つくってました

オペアンプ使って信号分配していました。黄色のCH1が元信号。それ以外が分配後

Word OneNoteからの、はてなダイヤリーの更新

Microsoft Office WordかOneNoteから、ダイアリーに投稿できるようにしてほしい。 Atomがつかえるような記事があったので、投稿先をhttp://b.hatena.ne.jp/atom にしてもできない。http://i.hatena.ne.jp/idea/24366 に要望をだした。マイクロソフトワードだ…

宇宙飛行士、若田さんと、大宮の小学校との交信の音声

宇宙飛行士、若田さんと、大宮の小学校との交信をみつけた。 ただし、送信・受信の周波数が別なので、若田さん側のみ。 宇宙からの電波はよく届くんだなぁと。 http://ameblo.jp/rffkuma/entry-10259155978.htmlhttp://ja0caw-je0mzi.mo-blog.jp/syumi/2009/…

RFワールド No6

自分で買うよ!(アフェリエイト?)

2^nの掛け算

2の掛け算をするときに、ビットシフトさせたときに0で埋めるか1で埋めるかという疑問がわいた。 10 * 2 = 20の場合 10(10進数)は、00001010(2進数)である(8+2=10だから) 10 * 2 は、2進数では0埋めの1ビットシフトに相当するので、 00010100(2)である 10…

Arduino をつないで Lチカをさせてみた

Arduino をつないで Lチカをさせてみた

あとでこのホームページをゆっくり読むためのメモ

http://www.yobology.info/text/index.htm

VirtualPCServer2005

ちょっと、ソフトウェアのバージョンの違いから、新しいOS環境を作りたく、 社内の一番いいQuadマシンにインストールして、 どうせだから、実験用サーバでも立てておくかということになって、 やってみたら、カオスなことになりました。

GNU Radio OFDM

http://www.gnuradio.org/trac/browser/gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/src/python/gnuradio/blksimpl?rev=6626http://www.gnuradio.org/trac/browser/gnuradio/branches/developers/n4hy/ofdm/gnuradio-core/src/python/gnuradio/bl…

GNU Radio 紹介記事

http://dev.emcelettronica.com/gnu-radio-open-source-software-defined-radio

GPSモジュール その2

電源逆指ししたので、徐々に壊れてきて、最終的に。3500円どぶに捨てました。新しいのを買って、位置トレースができましたhttp://aprs.fi/から、7K3VEYを検索すると、図書館に返しに行った時の行程がみれる。 (仕事には関係ありません) あと、バーテッ…

GPS モジュール

秋月でGPSモジュール GT-720Fを買ってきました。つないだら動きました。間違えてプラスマイナス逆につないだのに。。。 基板は電源をとるためだったりします。全く仕事とは関係ありません。