2010-04-09から1日間の記事一覧

CPUのつくりかたfor VHDL 2回目 2進数一桁の足し算

次に足し算をしてみよう。 電源をいれたら、出力が0になって、 トリガを入力したら、 入力信号A,Bの信号が足し算されて、一桁目が出力C1、二桁目が出力C2にでる。 entity C1-2 is port( RST_N : in std_logic; TRIG : in std_logic; IN_A : in std_logic; I…