2010-04-01から1ヶ月間の記事一覧

無線LAN測定中

アンテナが来たので測定してみた。

CPUのつくりかたfor VHDL 3回目 出力をまとめる

出力をまとめてみます。 入力信号A,Bの信号が足し算されて、出力がCにまとめてでます。 entity C1-3 is port( RST_N : in std_logic; TRIG : in std_logic; IN_A : in std_logic; IN_B : in std_logic; OUT_C : out std_logic_vector(1 downto 0); ) end C1-…

CPUのつくりかたfor VHDL 2回目 2進数一桁の足し算

次に足し算をしてみよう。 電源をいれたら、出力が0になって、 トリガを入力したら、 入力信号A,Bの信号が足し算されて、一桁目が出力C1、二桁目が出力C2にでる。 entity C1-2 is port( RST_N : in std_logic; TRIG : in std_logic; IN_A : in std_logic; I…

CPUのつくりかたfor VHDL 1回目

電源をいれたら、出力が0になって、 トリガを入力したら、 入力信号Aの信号が出力Bにでる。 まずはそこから。 entity C1 is port( RST_N : in std_logic; TRIG : in std_logic; IN_A : in std_logic; OUT_B : out std_logic; ) end C1; architecture rtl of…