2010-04-12から1日間の記事一覧

CPUのつくりかたfor VHDL 3回目 出力をまとめる

出力をまとめてみます。 入力信号A,Bの信号が足し算されて、出力がCにまとめてでます。 entity C1-3 is port( RST_N : in std_logic; TRIG : in std_logic; IN_A : in std_logic; IN_B : in std_logic; OUT_C : out std_logic_vector(1 downto 0); ) end C1-…