ALTERA FFT ModelSim

ALTERA のFFTでシミュレーションをするときに、
普通にModelSimでできないfft_libが必要といわれる。

C:\altera\71\ip\fft\lib をライブラリに追加すればいいように思えるがそれはできない。
  そのうえ、ここのディレクトリに入っている、VHDファイルは、バイナリである(暗号化?)

もっとうまい方法もあるかもしれないが、QualtusIIでMegaCoreで生成したFFT1024.vhd
C:\altera\71\ip\fft\lib 内の.vhdファイルをすべてプロジェクトに含めた

Processing から Start Compilation and simulation を選択する

しばらくすると、VHOファイルができる。

sim.do


vlib work
vmap work work
vcom ./*.vho
vcom ./FFT1024_tb.vhd
vsim work.FFT1024_tb
do wave.do
のファイルをつくり、

modelsimでdo sim.doと入力する。


これでシミュレーションは動き出す。